UVM - 18(Review and Lab4)

news/发布时间2024/4/28 19:17:53

test

Makefile

  • Makefile中定义变量,在运行Makefile的时候可以传入参数
make verbosity=UVM_HIGH

packet

  • 继承自uvm_sequence_item
  • 定义随机变量并创建约束


test_base


Virtual interface

  • interface不能在class中进行例化,需要使用virtual interface
  • virtual interface需要和实际的interface进行
  • driver中定义虚接口,在使用的时候使用uvm_config_db的形式get接口
  • 可以在test中用umv_config_db的形式配置接口

UVM_base Class Tree

Lab3 - sequence

packet_sequence






  • 定义变量赋初值可以在定义变量的时候赋初值,也可以在new函数中给变量赋初值
  • pre_randomize()是在调用randomize之前进行调用的函数
  • pre_randomize和post_randomize是自动进行调用的
  • m_sequencer - 使用uvm_config_db配置其中的变量,然后设置一些约束

agent

env


test

  • 在test中的build_phase中设置sequence中变量
  • sequence在main phase阶段可以通过config_db得到配置的变量的值

Lab4 - driver and monitor

driver

class driver extends uvm_driver #(packet);`uvm_component_utils(driver)virtual router_io_sigs; // 定义接口int port_id = -1;function new(string name,uvm_component parent);super.new(name,parent)endfunctionvirtual task run_phase(uvm_phase phase);forever beginseq.item_port.get_port_item(req);if(port_id inside {-1,req.sa}) beginsend(req);end seq.item_port.item_done();endendtaskendclass




router_io(interface)



Lab5 scoreboard and monitor

monitor






scoreboard




env




本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.ulsteruni.cn/article/56204028.html

如若内容造成侵权/违法违规/事实不符,请联系编程大学网进行投诉反馈email:xxxxxxxx@qq.com,一经查实,立即删除!

相关文章

html5文本标签

标题文本 h1、h2、h3、h4、h5、h6 其中 h1、h2、h3是比较常用的。h3、h4、h5、h6相对来说用的会少一点,除非结构层次比较深才会使用。 段落文本 p <p>这是一个段落<p/> 强调文本 strong 和 em 尽量避免使用b代替strong,使用i代替em。它们表示的含义不一样 <s…

PyTorch 深度学习(GPT 重译)(六)

十四、端到端结节分析,以及接下来的步骤 本章内容包括连接分割和分类模型为新任务微调网络将直方图和其他指标类型添加到 TensorBoard从过拟合到泛化在过去的几章中,我们已经构建了许多对我们的项目至关重要的系统。我们开始加载数据,构建和改进结节候选的分类器,训练分割模…

Rasterization

三角面片 为什么是三角面片?三角形是最基本的多边形 保证在同一个面上 非常好的定义内外 非常容易定义插值光栅化 重要问题之怎么判断像素的中心点在三角形内? 叉积的结果应该同号 AliasingJaggies【锯齿】 Moire【摩尔纹】 Wagon Wheel Illusion 。。。。原因 最根本的原因是…

Linux平台安装JDK遇到的坑

需要确定平台是x86还是aarch64,根据不同平台下载对应的jdk进行安装;jdk1.8官方下载地址如下 https://www.oracle.com/java/technologies/downloads/#java8-linux平台一定要确定好,避免装错了卸载重装。